资讯详情

MCP41010数字电位器调试

MCP41010器件是具有256 有10个抽头的数字电位器 kΩ、50 kΩ 和100 kΩ 3 电阻选择。

支持SPI 串行接口(模式0,0,0 和1,1),

数字电位器框图:

向电位器编写指令或数据的时序图

命令字节格式

为了加速FPGA先用调试工作USB TO SPI 调试器https://item.taobao.com/item.htm?spm=a21dvs.23580594.0.0.52de3d0dLKdx3M&ft=t&id=67259236819调试,分分钟,一切OK;

调试界面:

标签: 电位器调到零301可调电位器

锐单商城拥有海量元器件数据手册IC替代型号,打造 电子元器件IC百科大全!

锐单商城 - 一站式电子元器件采购平台