资讯详情

八选一数据选择器的VHDL程序

八选一须具选择器:用CASE语句。

LIBRARY E; USE IEEE.D_LOGIC_1164.ALL; EITY mux_8_1 IS PORT (A,B,C,D,E,F,G,H,En:IN STD_LOGIC; S:in STD_LOGIC_vector(2 downto 0); Y: out STD_LOGIC); end mux_8_1; ARCHICTURE mux OF mux_8_1 IS begin process( S,A,B,C,D,E,F,G,H,En) begin if En='0' then case S is when "000" => Y<=A; when "001" => Y<=B; when "010" => Y<=C; when "011" => Y<=D; when "100" => Y<=E; when "101" => Y<=F; when "110" => Y<=G; when "111" => Y<=H; end case; end if; end process; end mux;

-电子元器件采购网(www.ruidan.com)是本土元器件目录分销商,采用“小批量、现货、样品”销售模式,致力于满足客户多型号、高质量、快速交付的采购需求。 自建高效智能仓储,拥有自营库存超过50,000种,提供一站式正品现货采购、个性化解决方案、选型替代等多元化服务。
锐单商城拥有海量元器件数据手册IC替代型号,打造 电子元器件IC百科大全!

锐单商城 - 一站式电子元器件采购平台