资讯详情

全加器的VHDL程序

全加器:

LIBRARY E; USE IEEE.D_LOGIC_1164.ALL; EITY full_adder IS PORT (Ain,Bin ,Cin :IN STD_LOGIC; Sum,Co: out STD_LOGIC ); end full_adder; ARCHICTURE rtl OF full_adder IS BEGIN Sum<=Ain Xor Bin xor Cin ; Co<= (Ain and Bin) or ( Ain Xor Bin ) and Cin ; END rtl;

-电子元器件采购网(www.ruidan.com)是本土元器件目录分销商,采用“小批量、现货、样品”销售模式,致力于满足客户多型号、高质量、快速交付的采购需求。 自建高效智能仓储,拥有自营库存超过50,000种,提供一站式正品现货采购、个性化解决方案、选型替代等多元化服务。
锐单商城拥有海量元器件数据手册IC替代型号,打造 电子元器件IC百科大全!

锐单商城 - 一站式电子元器件采购平台