资讯详情

大学生集成电路设计大赛资源

全国大学生集成电路创新创新大赛

全国大学生嵌入式芯片与系统设计竞赛

集成电路EDA精英挑战赛的设计

: 《Verilog HDL数字设计与综合(第二版)(本科教学版) 数字逻辑基础与Verilog设计(原书第三版) 数字设计与计算机系统结构(原书第二版) 数字设计:原则与实践(原书第5版) 数字设计:系统方法 《FPGA从零开始使用编程Verilog》 《Verilog HDL入门(第三版) 《Verilog数字系统设计教程(第4版)(十一五) 《SoC系统设计》 《VHDL嵌入式数字系统设计教程 用于逻辑综合VHDL》(第3版) 《VHDL数字设计与计算机设计基础 《基于VHDL数字系统设计方法 数字逻辑设计与计算机组成 《SystemVerilog 测试平台编 斯皮尔 科学出版 《7天搞定FPGA ——Robei与Xilinx实战》

: Welcome To Verilog Page Verilog Tutorial LogiSim | 教程 Verilog语法 | 教程 HDLBits— Verilog Practice

: 美国DIGILENT科技(B站视频) Digilent Basys 3系列-FPGA设计实例-01 在Vivado安装Digilent板卡支持文件 Digilent Basys 3系列-组合逻辑电路实验-02 4输入逻辑门设计实验 Digilent Basys 3系列-FPGA基于设计实例-03 Verilog七段数字管实验 Digilent Basys 3系列-FPGA设计实例-04 Vivado 74系列IP封装 … 正点原子【第一期】手拉手教你学习ZYNQ之FPGA基于领航者开发板的开发 正点原子【第一期】手拉手教你学习ZYNQ之FPGA基于领航者开发板的开发

: Logisim Icarus Verilog Icarus Verilog for Windows gtkwave download | SourceForge.net Yosys Open SYnthesis Suite 无剑100 Open阿里 平头哥

: ALLDATASHEET.COM - Datasheet search site for Electronic Components and Semiconductors and other semiconductors. Datasheet,电子元件,IC集成电路PDF资料,Datasheet下载电子工程世界中文网 IC资料网====IC PDF资料网

: Mojo Papilio One Elbert 2

: VHDL语言_百度百科 Verilog_百度百科 SystemVerilog_百度百科 systemC_百度百科 TCL语言_百度百科 spice(模拟电路模拟器)_百度百科 risc_百度百科 CISC_百度百科 RISC-V_百度百科 intel公司_百度百科 amd公司_百度百科 ARM_百度百科 NVIDIA_百度百科 eda(电子设计自动化)_百度百科 ASIC_百度百科 ASSP_百度百科 FPGA_百度百科 pcie_百度百科 TCAM_百度百科 LDPC码_百度百科 ECC_百度百科 NAND_百度百科 Vivado_百度百科 xilinx ise_百度百科 Xilinx ISE Design Suite_百度百科 modelsim_百度百科 GTKWave_百度百科 Quartus_百度百科 multisim_百度百科 ASML_百度百科 台积电_百度百科 联发科_百度百科 中芯国际_百度百科 Synopsys_百度百科 Cadence_百度百科 Mentor Graphics_百度百科 北京华大九天软件有限公司_百度百科 北京芯愿景软件技术有限公司_百度百科 华润上华科技有限公司_百度百科 Marvell_百度百科 Xilinx_百度百科

: 开源EDA工具 ExASIC: 开源综合工具Yosys中的Verilog Parser 大话Verilog——Verilog入门(FPGA/ARM) EDA硬件描述语言VHDL Icarus Verilog的使用 轻量版verilog仿真平台:icarus verilog使用简介 Ubuntu下icarus verilog仿真实例 31指令单周期cpu设计(Verilog) Verilog HDL 快速入门 Vivado HLx 2019.下载、安装和激活

: Synopsys | EDA Tools,Semiconductor IP and Application… Cadence | Computational Software for Intelligent System… cadence-中国授权中国网站 …in electronic design automation software - Mentor Graphics 在EDA技术的领导者 - Mentor Graphics 华大九天 北京芯愿景软件技术有限公司

: 首页 | 紫光展锐官网 Robei 若贝 紫光同创联合ALINX发布国产入门级FPGA开发套件-紫光同创 中科集成电路有限公司 华润上华科技有限公司 Xilinx - Adaptable. Intelligent. Xilinx -灵活应变. 万物智能. Versal ACAP …PCIE接口培训课程|上海fpga就业培训班-v3学院(www.v3edu.org)

英特尔FPGA中国创新中心 Verilog.com

1364-2005 - IEEE Standard for Verilog Hardware Description…

: Clang C Language Family Frontend for LLVM JavaCC(github)

标签: 集成电路芯片的原理集成电路的电子器件集成电路d358

锐单商城拥有海量元器件数据手册IC替代型号,打造 电子元器件IC百科大全!

锐单商城 - 一站式电子元器件采购平台