资讯详情

用Verilog hdl来实现d触发器2分频程序源码

用Verilog来实现d触发器2分频的Veriloghdl程序:

module dff_2(clk,rst,clk_out);

input clk,rst; output clk_out;

wire clk,rst; reg clk_out;

always @(posedge clk or negedge rst) if(!rst) begin clk_out<=0; end else begin clk_out<=~clk_out; end

endmodule 通过RTL viewer 得到的原理图;

仿真图如图示:

-电子元器件采购网(www.ruidan.com)是本土元器件目录分销商,采用“小批量、现货、样品”销售模式,致力于满足客户多型号、高质量、快速交付的采购需求。 自建高效智能仓储,拥有自营库存超过50,000种,提供一站式正品现货采购、个性化解决方案、选型替代等多元化服务。
锐单商城拥有海量元器件数据手册IC替代型号,打造 电子元器件IC百科大全!

锐单商城 - 一站式电子元器件采购平台